Skip to content

Commit e30d6d7

Browse files
committed
DExx_Cramps: cleanup file
1 parent 22f38a2 commit e30d6d7

File tree

1 file changed

+16
-16
lines changed

1 file changed

+16
-16
lines changed

HW/hm2/wrappers/MakeIOPorts.vhd

Lines changed: 16 additions & 16 deletions
Original file line numberDiff line numberDiff line change
@@ -39,23 +39,23 @@ entity MakeIOPorts is
3939
RegStride0: integer;
4040
RegStride1: integer;
4141
--
42-
ClockMed: integer;
42+
-- ClockMed: integer;
4343
BusWidth: integer;
4444
AddrWidth: integer;
45-
STEPGENs: integer;
46-
StepGenTableWidth: integer;
47-
UseStepGenPreScaler: boolean;
48-
UseStepgenIndex: boolean;
49-
UseStepgenProbe: boolean;
50-
timersize: integer; -- = ~480 usec at 33 MHz, ~320 at 50 Mhz
51-
asize: integer;
52-
rsize: integer;
53-
PWMGens: integer;
54-
PWMRefWidth : integer;
55-
UsePWMEnas : boolean;
56-
QCounters: integer;
57-
UseMuxedProbe: boolean;
58-
UseProbe: boolean;
45+
-- STEPGENs: integer;
46+
-- StepGenTableWidth: integer;
47+
-- UseStepGenPreScaler: boolean;
48+
-- UseStepgenIndex: boolean;
49+
-- UseStepgenProbe: boolean;
50+
-- timersize: integer; -- = ~480 usec at 33 MHz, ~320 at 50 Mhz
51+
-- asize: integer;
52+
-- rsize: integer;
53+
-- PWMGens: integer;
54+
-- PWMRefWidth : integer;
55+
-- UsePWMEnas : boolean;
56+
-- QCounters: integer;
57+
-- UseMuxedProbe: boolean;
58+
-- UseProbe: boolean;
5959
UseWatchDog: boolean;
6060
UseDemandModeDMA: boolean;
6161
UseIRQlogic: boolean;
@@ -115,7 +115,7 @@ architecture dataflow of MakeIOPorts is
115115
signal PortSel: std_logic;
116116

117117
-- I/O port related signals
118-
signal RefCountBus : std_logic_vector(PWMRefWidth-1 downto 0);
118+
-- signal RefCountBus : std_logic_vector(PWMRefWidth-1 downto 0);
119119
signal LoadPortCmd: std_logic_vector(IOPorts -1 downto 0);
120120
signal ReadPortCmd: std_logic_vector(IOPorts -1 downto 0);
121121

0 commit comments

Comments
 (0)