Skip to content

Commit 9044f07

Browse files
authored
Merge pull request #523 from antmicro/39116-gnl-constrs
src: Add missing constraints for one of GNLs examples
2 parents b4ab9c6 + 7376cfe commit 9044f07

File tree

3 files changed

+492
-0
lines changed

3 files changed

+492
-0
lines changed

src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/constr/arty-a100t.xdc

Lines changed: 164 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -161,3 +161,167 @@ set_property PACKAGE_PIN K5 [get_ports {op[76]}]
161161
set_property PACKAGE_PIN D3 [get_ports {op[77]}]
162162
set_property PACKAGE_PIN T6 [get_ports {op[78]}]
163163
set_property PACKAGE_PIN B7 [get_ports {op[79]}]
164+
165+
set_property IOSTANDARD LVCMOS12 [get_ports {clk}]
166+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[0]}]
167+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[1]}]
168+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[2]}]
169+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[3]}]
170+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[4]}]
171+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[5]}]
172+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[6]}]
173+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[7]}]
174+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[8]}]
175+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[9]}]
176+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[10]}]
177+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[11]}]
178+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[12]}]
179+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[13]}]
180+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[14]}]
181+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[15]}]
182+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[16]}]
183+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[17]}]
184+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[18]}]
185+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[19]}]
186+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[20]}]
187+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[21]}]
188+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[22]}]
189+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[23]}]
190+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[24]}]
191+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[25]}]
192+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[26]}]
193+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[27]}]
194+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[28]}]
195+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[29]}]
196+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[30]}]
197+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[31]}]
198+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[32]}]
199+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[33]}]
200+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[34]}]
201+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[35]}]
202+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[36]}]
203+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[37]}]
204+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[38]}]
205+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[39]}]
206+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[40]}]
207+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[41]}]
208+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[42]}]
209+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[43]}]
210+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[44]}]
211+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[45]}]
212+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[46]}]
213+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[47]}]
214+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[48]}]
215+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[49]}]
216+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[50]}]
217+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[51]}]
218+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[52]}]
219+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[53]}]
220+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[54]}]
221+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[55]}]
222+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[56]}]
223+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[57]}]
224+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[58]}]
225+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[59]}]
226+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[60]}]
227+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[61]}]
228+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[62]}]
229+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[63]}]
230+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[64]}]
231+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[65]}]
232+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[66]}]
233+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[67]}]
234+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[68]}]
235+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[69]}]
236+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[70]}]
237+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[71]}]
238+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[72]}]
239+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[73]}]
240+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[74]}]
241+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[75]}]
242+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[76]}]
243+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[77]}]
244+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[78]}]
245+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[79]}]
246+
set_property IOSTANDARD LVCMOS12 [get_ports {op[0]}]
247+
set_property IOSTANDARD LVCMOS12 [get_ports {op[1]}]
248+
set_property IOSTANDARD LVCMOS12 [get_ports {op[2]}]
249+
set_property IOSTANDARD LVCMOS12 [get_ports {op[3]}]
250+
set_property IOSTANDARD LVCMOS12 [get_ports {op[4]}]
251+
set_property IOSTANDARD LVCMOS12 [get_ports {op[5]}]
252+
set_property IOSTANDARD LVCMOS12 [get_ports {op[6]}]
253+
set_property IOSTANDARD LVCMOS12 [get_ports {op[7]}]
254+
set_property IOSTANDARD LVCMOS12 [get_ports {op[8]}]
255+
set_property IOSTANDARD LVCMOS12 [get_ports {op[9]}]
256+
set_property IOSTANDARD LVCMOS12 [get_ports {op[10]}]
257+
set_property IOSTANDARD LVCMOS12 [get_ports {op[11]}]
258+
set_property IOSTANDARD LVCMOS12 [get_ports {op[12]}]
259+
set_property IOSTANDARD LVCMOS12 [get_ports {op[13]}]
260+
set_property IOSTANDARD LVCMOS12 [get_ports {op[14]}]
261+
set_property IOSTANDARD LVCMOS12 [get_ports {op[15]}]
262+
set_property IOSTANDARD LVCMOS12 [get_ports {op[16]}]
263+
set_property IOSTANDARD LVCMOS12 [get_ports {op[17]}]
264+
set_property IOSTANDARD LVCMOS12 [get_ports {op[18]}]
265+
set_property IOSTANDARD LVCMOS12 [get_ports {op[19]}]
266+
set_property IOSTANDARD LVCMOS12 [get_ports {op[20]}]
267+
set_property IOSTANDARD LVCMOS12 [get_ports {op[21]}]
268+
set_property IOSTANDARD LVCMOS12 [get_ports {op[22]}]
269+
set_property IOSTANDARD LVCMOS12 [get_ports {op[23]}]
270+
set_property IOSTANDARD LVCMOS12 [get_ports {op[24]}]
271+
set_property IOSTANDARD LVCMOS12 [get_ports {op[25]}]
272+
set_property IOSTANDARD LVCMOS12 [get_ports {op[26]}]
273+
set_property IOSTANDARD LVCMOS12 [get_ports {op[27]}]
274+
set_property IOSTANDARD LVCMOS12 [get_ports {op[28]}]
275+
set_property IOSTANDARD LVCMOS12 [get_ports {op[29]}]
276+
set_property IOSTANDARD LVCMOS12 [get_ports {op[30]}]
277+
set_property IOSTANDARD LVCMOS12 [get_ports {op[31]}]
278+
set_property IOSTANDARD LVCMOS12 [get_ports {op[32]}]
279+
set_property IOSTANDARD LVCMOS12 [get_ports {op[33]}]
280+
set_property IOSTANDARD LVCMOS12 [get_ports {op[34]}]
281+
set_property IOSTANDARD LVCMOS12 [get_ports {op[35]}]
282+
set_property IOSTANDARD LVCMOS12 [get_ports {op[36]}]
283+
set_property IOSTANDARD LVCMOS12 [get_ports {op[37]}]
284+
set_property IOSTANDARD LVCMOS12 [get_ports {op[38]}]
285+
set_property IOSTANDARD LVCMOS12 [get_ports {op[39]}]
286+
set_property IOSTANDARD LVCMOS12 [get_ports {op[40]}]
287+
set_property IOSTANDARD LVCMOS12 [get_ports {op[41]}]
288+
set_property IOSTANDARD LVCMOS12 [get_ports {op[42]}]
289+
set_property IOSTANDARD LVCMOS12 [get_ports {op[43]}]
290+
set_property IOSTANDARD LVCMOS12 [get_ports {op[44]}]
291+
set_property IOSTANDARD LVCMOS12 [get_ports {op[45]}]
292+
set_property IOSTANDARD LVCMOS12 [get_ports {op[46]}]
293+
set_property IOSTANDARD LVCMOS12 [get_ports {op[47]}]
294+
set_property IOSTANDARD LVCMOS12 [get_ports {op[48]}]
295+
set_property IOSTANDARD LVCMOS12 [get_ports {op[49]}]
296+
set_property IOSTANDARD LVCMOS12 [get_ports {op[50]}]
297+
set_property IOSTANDARD LVCMOS12 [get_ports {op[51]}]
298+
set_property IOSTANDARD LVCMOS12 [get_ports {op[52]}]
299+
set_property IOSTANDARD LVCMOS12 [get_ports {op[53]}]
300+
set_property IOSTANDARD LVCMOS12 [get_ports {op[54]}]
301+
set_property IOSTANDARD LVCMOS12 [get_ports {op[55]}]
302+
set_property IOSTANDARD LVCMOS12 [get_ports {op[56]}]
303+
set_property IOSTANDARD LVCMOS12 [get_ports {op[57]}]
304+
set_property IOSTANDARD LVCMOS12 [get_ports {op[58]}]
305+
set_property IOSTANDARD LVCMOS12 [get_ports {op[59]}]
306+
set_property IOSTANDARD LVCMOS12 [get_ports {op[60]}]
307+
set_property IOSTANDARD LVCMOS12 [get_ports {op[61]}]
308+
set_property IOSTANDARD LVCMOS12 [get_ports {op[62]}]
309+
set_property IOSTANDARD LVCMOS12 [get_ports {op[63]}]
310+
set_property IOSTANDARD LVCMOS12 [get_ports {op[64]}]
311+
set_property IOSTANDARD LVCMOS12 [get_ports {op[65]}]
312+
set_property IOSTANDARD LVCMOS12 [get_ports {op[66]}]
313+
set_property IOSTANDARD LVCMOS12 [get_ports {op[67]}]
314+
set_property IOSTANDARD LVCMOS12 [get_ports {op[68]}]
315+
set_property IOSTANDARD LVCMOS12 [get_ports {op[69]}]
316+
set_property IOSTANDARD LVCMOS12 [get_ports {op[70]}]
317+
set_property IOSTANDARD LVCMOS12 [get_ports {op[71]}]
318+
set_property IOSTANDARD LVCMOS12 [get_ports {op[72]}]
319+
set_property IOSTANDARD LVCMOS12 [get_ports {op[73]}]
320+
set_property IOSTANDARD LVCMOS12 [get_ports {op[74]}]
321+
set_property IOSTANDARD LVCMOS12 [get_ports {op[75]}]
322+
set_property IOSTANDARD LVCMOS12 [get_ports {op[76]}]
323+
set_property IOSTANDARD LVCMOS12 [get_ports {op[77]}]
324+
set_property IOSTANDARD LVCMOS12 [get_ports {op[78]}]
325+
set_property IOSTANDARD LVCMOS12 [get_ports {op[79]}]
326+
327+
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF]

src/gnl-2-4-5-2.0-gnl-2000-08-5-80-80/constr/arty-a35t.xdc

Lines changed: 164 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -161,3 +161,167 @@ set_property PACKAGE_PIN R6 [get_ports {op[76]}]
161161
set_property PACKAGE_PIN L6 [get_ports {op[77]}]
162162
set_property PACKAGE_PIN N4 [get_ports {op[78]}]
163163
set_property PACKAGE_PIN U2 [get_ports {op[79]}]
164+
165+
set_property IOSTANDARD LVCMOS12 [get_ports {clk}]
166+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[0]}]
167+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[1]}]
168+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[2]}]
169+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[3]}]
170+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[4]}]
171+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[5]}]
172+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[6]}]
173+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[7]}]
174+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[8]}]
175+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[9]}]
176+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[10]}]
177+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[11]}]
178+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[12]}]
179+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[13]}]
180+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[14]}]
181+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[15]}]
182+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[16]}]
183+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[17]}]
184+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[18]}]
185+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[19]}]
186+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[20]}]
187+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[21]}]
188+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[22]}]
189+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[23]}]
190+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[24]}]
191+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[25]}]
192+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[26]}]
193+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[27]}]
194+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[28]}]
195+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[29]}]
196+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[30]}]
197+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[31]}]
198+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[32]}]
199+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[33]}]
200+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[34]}]
201+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[35]}]
202+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[36]}]
203+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[37]}]
204+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[38]}]
205+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[39]}]
206+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[40]}]
207+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[41]}]
208+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[42]}]
209+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[43]}]
210+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[44]}]
211+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[45]}]
212+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[46]}]
213+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[47]}]
214+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[48]}]
215+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[49]}]
216+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[50]}]
217+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[51]}]
218+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[52]}]
219+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[53]}]
220+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[54]}]
221+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[55]}]
222+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[56]}]
223+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[57]}]
224+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[58]}]
225+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[59]}]
226+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[60]}]
227+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[61]}]
228+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[62]}]
229+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[63]}]
230+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[64]}]
231+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[65]}]
232+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[66]}]
233+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[67]}]
234+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[68]}]
235+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[69]}]
236+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[70]}]
237+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[71]}]
238+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[72]}]
239+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[73]}]
240+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[74]}]
241+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[75]}]
242+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[76]}]
243+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[77]}]
244+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[78]}]
245+
set_property IOSTANDARD LVCMOS12 [get_ports {ip[79]}]
246+
set_property IOSTANDARD LVCMOS12 [get_ports {op[0]}]
247+
set_property IOSTANDARD LVCMOS12 [get_ports {op[1]}]
248+
set_property IOSTANDARD LVCMOS12 [get_ports {op[2]}]
249+
set_property IOSTANDARD LVCMOS12 [get_ports {op[3]}]
250+
set_property IOSTANDARD LVCMOS12 [get_ports {op[4]}]
251+
set_property IOSTANDARD LVCMOS12 [get_ports {op[5]}]
252+
set_property IOSTANDARD LVCMOS12 [get_ports {op[6]}]
253+
set_property IOSTANDARD LVCMOS12 [get_ports {op[7]}]
254+
set_property IOSTANDARD LVCMOS12 [get_ports {op[8]}]
255+
set_property IOSTANDARD LVCMOS12 [get_ports {op[9]}]
256+
set_property IOSTANDARD LVCMOS12 [get_ports {op[10]}]
257+
set_property IOSTANDARD LVCMOS12 [get_ports {op[11]}]
258+
set_property IOSTANDARD LVCMOS12 [get_ports {op[12]}]
259+
set_property IOSTANDARD LVCMOS12 [get_ports {op[13]}]
260+
set_property IOSTANDARD LVCMOS12 [get_ports {op[14]}]
261+
set_property IOSTANDARD LVCMOS12 [get_ports {op[15]}]
262+
set_property IOSTANDARD LVCMOS12 [get_ports {op[16]}]
263+
set_property IOSTANDARD LVCMOS12 [get_ports {op[17]}]
264+
set_property IOSTANDARD LVCMOS12 [get_ports {op[18]}]
265+
set_property IOSTANDARD LVCMOS12 [get_ports {op[19]}]
266+
set_property IOSTANDARD LVCMOS12 [get_ports {op[20]}]
267+
set_property IOSTANDARD LVCMOS12 [get_ports {op[21]}]
268+
set_property IOSTANDARD LVCMOS12 [get_ports {op[22]}]
269+
set_property IOSTANDARD LVCMOS12 [get_ports {op[23]}]
270+
set_property IOSTANDARD LVCMOS12 [get_ports {op[24]}]
271+
set_property IOSTANDARD LVCMOS12 [get_ports {op[25]}]
272+
set_property IOSTANDARD LVCMOS12 [get_ports {op[26]}]
273+
set_property IOSTANDARD LVCMOS12 [get_ports {op[27]}]
274+
set_property IOSTANDARD LVCMOS12 [get_ports {op[28]}]
275+
set_property IOSTANDARD LVCMOS12 [get_ports {op[29]}]
276+
set_property IOSTANDARD LVCMOS12 [get_ports {op[30]}]
277+
set_property IOSTANDARD LVCMOS12 [get_ports {op[31]}]
278+
set_property IOSTANDARD LVCMOS12 [get_ports {op[32]}]
279+
set_property IOSTANDARD LVCMOS12 [get_ports {op[33]}]
280+
set_property IOSTANDARD LVCMOS12 [get_ports {op[34]}]
281+
set_property IOSTANDARD LVCMOS12 [get_ports {op[35]}]
282+
set_property IOSTANDARD LVCMOS12 [get_ports {op[36]}]
283+
set_property IOSTANDARD LVCMOS12 [get_ports {op[37]}]
284+
set_property IOSTANDARD LVCMOS12 [get_ports {op[38]}]
285+
set_property IOSTANDARD LVCMOS12 [get_ports {op[39]}]
286+
set_property IOSTANDARD LVCMOS12 [get_ports {op[40]}]
287+
set_property IOSTANDARD LVCMOS12 [get_ports {op[41]}]
288+
set_property IOSTANDARD LVCMOS12 [get_ports {op[42]}]
289+
set_property IOSTANDARD LVCMOS12 [get_ports {op[43]}]
290+
set_property IOSTANDARD LVCMOS12 [get_ports {op[44]}]
291+
set_property IOSTANDARD LVCMOS12 [get_ports {op[45]}]
292+
set_property IOSTANDARD LVCMOS12 [get_ports {op[46]}]
293+
set_property IOSTANDARD LVCMOS12 [get_ports {op[47]}]
294+
set_property IOSTANDARD LVCMOS12 [get_ports {op[48]}]
295+
set_property IOSTANDARD LVCMOS12 [get_ports {op[49]}]
296+
set_property IOSTANDARD LVCMOS12 [get_ports {op[50]}]
297+
set_property IOSTANDARD LVCMOS12 [get_ports {op[51]}]
298+
set_property IOSTANDARD LVCMOS12 [get_ports {op[52]}]
299+
set_property IOSTANDARD LVCMOS12 [get_ports {op[53]}]
300+
set_property IOSTANDARD LVCMOS12 [get_ports {op[54]}]
301+
set_property IOSTANDARD LVCMOS12 [get_ports {op[55]}]
302+
set_property IOSTANDARD LVCMOS12 [get_ports {op[56]}]
303+
set_property IOSTANDARD LVCMOS12 [get_ports {op[57]}]
304+
set_property IOSTANDARD LVCMOS12 [get_ports {op[58]}]
305+
set_property IOSTANDARD LVCMOS12 [get_ports {op[59]}]
306+
set_property IOSTANDARD LVCMOS12 [get_ports {op[60]}]
307+
set_property IOSTANDARD LVCMOS12 [get_ports {op[61]}]
308+
set_property IOSTANDARD LVCMOS12 [get_ports {op[62]}]
309+
set_property IOSTANDARD LVCMOS12 [get_ports {op[63]}]
310+
set_property IOSTANDARD LVCMOS12 [get_ports {op[64]}]
311+
set_property IOSTANDARD LVCMOS12 [get_ports {op[65]}]
312+
set_property IOSTANDARD LVCMOS12 [get_ports {op[66]}]
313+
set_property IOSTANDARD LVCMOS12 [get_ports {op[67]}]
314+
set_property IOSTANDARD LVCMOS12 [get_ports {op[68]}]
315+
set_property IOSTANDARD LVCMOS12 [get_ports {op[69]}]
316+
set_property IOSTANDARD LVCMOS12 [get_ports {op[70]}]
317+
set_property IOSTANDARD LVCMOS12 [get_ports {op[71]}]
318+
set_property IOSTANDARD LVCMOS12 [get_ports {op[72]}]
319+
set_property IOSTANDARD LVCMOS12 [get_ports {op[73]}]
320+
set_property IOSTANDARD LVCMOS12 [get_ports {op[74]}]
321+
set_property IOSTANDARD LVCMOS12 [get_ports {op[75]}]
322+
set_property IOSTANDARD LVCMOS12 [get_ports {op[76]}]
323+
set_property IOSTANDARD LVCMOS12 [get_ports {op[77]}]
324+
set_property IOSTANDARD LVCMOS12 [get_ports {op[78]}]
325+
set_property IOSTANDARD LVCMOS12 [get_ports {op[79]}]
326+
327+
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF]

0 commit comments

Comments
 (0)