Skip to content

Commit 725131a

Browse files
committed
adrv9001: Add missing constrains
Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
1 parent 6a1879e commit 725131a

File tree

1 file changed

+23
-0
lines changed

1 file changed

+23
-0
lines changed

projects/adrv9001_dual/zcu102/lvds_constr.xdc

Lines changed: 23 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -136,5 +136,28 @@ set_property CLOCK_DELAY_GROUP BALANCE_CLOCKS_4 \
136136
[get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_2/inst/i_if/i_rx_2_phy/i_clk_buf_fast/O]] \
137137
]
138138

139+
set_property CLOCK_DELAY_GROUP BALANCE_CLOCKS_5 \
140+
[list [get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_1/inst/i_if/i_tx_1_phy/i_div_clk_buf/O]] \
141+
[get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_1/inst/i_if/i_tx_1_phy/i_clk_buf_fast/O]] \
142+
]
143+
144+
set_property CLOCK_DELAY_GROUP BALANCE_CLOCKS_6 \
145+
[list [get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_1/inst/i_if/i_tx_2_phy/i_div_clk_buf/O]] \
146+
[get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_1/inst/i_if/i_tx_2_phy/i_clk_buf_fast/O]] \
147+
]
148+
149+
set_property CLOCK_DELAY_GROUP BALANCE_CLOCKS_7 \
150+
[list [get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_2/inst/i_if/i_tx_1_phy/i_div_clk_buf/O]] \
151+
[get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_2/inst/i_if/i_tx_1_phy/i_clk_buf_fast/O]] \
152+
]
153+
154+
set_property CLOCK_DELAY_GROUP BALANCE_CLOCKS_8 \
155+
[list [get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_2/inst/i_if/i_tx_2_phy/i_div_clk_buf/O]] \
156+
[get_nets -of [get_pins i_system_wrapper/system_i/axi_adrv9001_2/inst/i_if/i_tx_2_phy/i_clk_buf_fast/O]] \
157+
]
158+
139159
set_property UNAVAILABLE_DURING_CALIBRATION TRUE [get_ports adrv1_tx1_strobe_in_p]
140160
set_property UNAVAILABLE_DURING_CALIBRATION TRUE [get_ports adrv1_tx2_idata_in_p]
161+
162+
set_false_path -to [get_pins i_system_wrapper/system_i/axi_adrv9001_1/inst/i_sync/mssi_sync_in_d_reg/D]
163+
set_false_path -to [get_pins i_system_wrapper/system_i/axi_adrv9001_2/inst/i_sync/mssi_sync_in_d_reg/D]

0 commit comments

Comments
 (0)