File tree Expand file tree Collapse file tree 3 files changed +32
-27
lines changed Expand file tree Collapse file tree 3 files changed +32
-27
lines changed Original file line number Diff line number Diff line change 1010 input CLK,
1111 input RST
1212 );
13- localparam fsm_init = 0;
14- localparam fsm_1 = 1;
15- localparam fsm_2 = 2;
16- localparam fsm_3 = 3;
1713 reg [ADDR_WIDTH-1:0] addr;
1814 reg [DATA_WIDTH-1:0] datain;
1915 reg [1-1:0] write;
2016 wire [DATA_WIDTH-1:0] dataout;
21- reg [32-1:0] fsm;
17+
18+ my_bram
19+ #(
20+ .ADDR_WIDTH(ADDR_WIDTH),
21+ .DATA_WIDTH(DATA_WIDTH)
22+ )
23+ inst_bram
24+ (
25+ .CLK(CLK),
26+ .addr(addr),
27+ .datain(datain),
28+ .write(write),
29+ .dataout(dataout)
30+ );
2231
32+ reg [32-1:0] fsm;
33+
34+ localparam fsm_init = 0;
35+ localparam fsm_1 = 1;
36+ localparam fsm_2 = 2;
37+ localparam fsm_3 = 3;
38+
2339 always @(posedge CLK) begin
2440 if(RST) begin
2541 addr <= 0;
5672 end
5773 end
5874
59- my_bram
60- #(
61- .ADDR_WIDTH(ADDR_WIDTH),
62- .DATA_WIDTH(DATA_WIDTH)
63- )
64- inst_bram
65- (
66- .CLK(CLK),
67- .addr(addr),
68- .datain(datain),
69- .write(write),
70- .dataout(dataout)
71- );
72-
7375endmodule
7476
7577module my_bram #
8486 input [1-1:0] write,
8587 output [DATA_WIDTH-1:0] dataout
8688 );
87- reg [DATA_WIDTH-1:0] d_addr;
89+
90+ reg [DATA_WIDTH-1:0] d_addr;
8891 reg [DATA_WIDTH-1:0] mem [0:DATA_WIDTH-1];
89- assign dataout = mem[d_addr];
92+
9093 always @(posedge CLK) begin
9194 if(write) begin
9295 mem[addr] <= datain;
9396 end
9497 d_addr <= addr;
9598 end
99+
100+ assign dataout = mem[d_addr];
96101endmodule
97102"""
98103
@@ -107,4 +112,4 @@ def test_bram():
107112 codegen = ASTCodeGenerator ()
108113 expected_code = codegen .visit (expected_ast )
109114
110- assert (bram_code == expected_code )
115+ assert (expected_code == bram_code )
Original file line number Diff line number Diff line change @@ -45,4 +45,4 @@ def test_led():
4545 codegen = ASTCodeGenerator ()
4646 expected_code = codegen .visit (expected_ast )
4747
48- assert (led_code == expected_code )
48+ assert (expected_code == led_code )
Original file line number Diff line number Diff line change 1414 output reg [WIDTH-1:0] LED_3
1515 );
1616 reg [32-1:0] count_0;
17- reg [32-1:0] count_1;
18- reg [32-1:0] count_2;
19- reg [32-1:0] count_3;
2017 always @(posedge CLK) begin
2118 if(RST) begin
2219 count_0 <= 0;
3734 end
3835 end
3936 end
37+ reg [32-1:0] count_1;
4038 always @(posedge CLK) begin
4139 if(RST) begin
4240 count_1 <= 0;
5755 end
5856 end
5957 end
58+ reg [32-1:0] count_2;
6059 always @(posedge CLK) begin
6160 if(RST) begin
6261 count_2 <= 0;
7776 end
7877 end
7978 end
79+ reg [32-1:0] count_3;
8080 always @(posedge CLK) begin
8181 if(RST) begin
8282 count_3 <= 0;
@@ -111,4 +111,4 @@ def test_led():
111111 codegen = ASTCodeGenerator ()
112112 expected_code = codegen .visit (expected_ast )
113113
114- assert (led_code == expected_code )
114+ assert (expected_code == led_code )
You can’t perform that action at this time.
0 commit comments