Skip to content

Commit af7e304

Browse files
committed
fix(dma-lat-meter): increase resolution and the range of histogram
The resolution has been very low and did not account for the delays greater than 8 us. The new resolution is now able to also measure the latency of Scatter-Gather DMA engines like Medusa.
1 parent c46bdc1 commit af7e304

File tree

1 file changed

+4
-3
lines changed

1 file changed

+4
-3
lines changed

comp/dma/dma_calypte/comp/test_core/comp/dma_latency_meter/dma_latency_meter.vhd

Lines changed: 4 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -191,8 +191,9 @@ architecture FULL of DMA_LATENCY_METER is
191191
-- =============================================================================================
192192
-- Lanecy meters
193193
-- =============================================================================================
194-
constant TIMESTAMP_WIDTH : positive := 11;
195-
constant LAT_PARAL_EVENTS : positive := 64;
194+
constant TIMESTAMP_WIDTH : positive := 18;
195+
constant LAT_PARAL_EVENTS : positive := 2;
196+
constant HIST_BOX_CNT : positive := 2**16;
196197

197198
signal lat_meas_val_vld : std_logic;
198199
signal lat_meas_val : std_logic_vector(TIMESTAMP_WIDTH -1 downto 0);
@@ -333,7 +334,7 @@ begin
333334
HIST_EN => (others => TRUE),
334335

335336
SUM_EXTRA_WIDTH => (others => 16),
336-
HIST_BOX_CNT => (others => 128),
337+
HIST_BOX_CNT => (others => HIST_BOX_CNT),
337338
HIST_BOX_WIDTH => (others => 32),
338339
CTRLO_DEFAULT => (others => '0'))
339340
port map (

0 commit comments

Comments
 (0)